Learn Verilog

History:-
  • Invented in 1984 by Phil Moorby.
  • From 1984 - 1989, Verilog was owned by Gateway Design Automation.
  • In 1989, Cadence Design Systems acquired Gateway Design Automation.
  • Later Verilog was opened to the public domain.
  • In 1995, Verilog was made an IEEE standard commonly referred to as Verilog-95.
  • In 2005, SystemVerilog was also developed as a superset of Verilog by Accellera.
  • In 2009, Verilog and SystemVerilog were merged to form one IEEE standard.
What is Verilog?
Verilog is a programming language that was invented to enable modeling and behavioral simulation of logic designs. It allows different levels of abstraction based on the design requirement (e.g. Gate level netlist, RTL level, etc).

Note:- Unlike VHDL, Verilog is case-sensitive.

Verilog is used for hardware modeling, testbench modeling, board-level designs, system-level designs, and transistor switch-based designs. Verilog supports hierarchical design entry which enables easier designing, verification, and debugging. 

Advantage:-

No comments:

Post a Comment

Featured Post

Why there is a massive chip shortage in the semiconductor industry?

Potential factors like economic disruption due to COVID-19, working from home, wafer yield issues, and shortage for 200 mm wafer capacities ...