Tuesday, July 21, 2020

Keywords vs Identifier (Verilog)?

Keywords: Reserved identifiers for the Verilog language constructs. Always in lower-case.

e.g.: module, endmodule, input, output, etc.

Identifiers: Name given to an object so that they can be referenced in the design description.

e.g.: a, b, _test, etc.

No comments:

Post a Comment

Featured Post

Why there is a massive chip shortage in the semiconductor industry?

Potential factors like economic disruption due to COVID-19, working from home, wafer yield issues, and shortage for 200 mm wafer capacities ...